EDA技术(湖南文理学院) 知到智慧树答案2024 z27686

 

第一章 单元测试

1、 EDA的中文含义是( )

A:计算机辅助制造
B:计算机辅助教学
C:计算机辅助计算
D:电子设计自动化
答案: 电子设计自动化

2、 在EDA中,IP的中文含义是( )。

A:没有特定意义
B:在系统编程
C:网络供应商
D:知识产权核
答案: 知识产权核

3、 CPLD/FPGA最显著的特点不包括( )

A:可移植性
B:高速度
C:高可靠性
D:高集成度
答案: 可移植性

4、 下列硬件描述语言中成为IEEE标准的是( )

A:System Verilog
B:System C
C:VHDL
D:ABEL
答案: VHDL

5、 下列硬件描述语言中最适合于描述门级电路的是( )

A:VHDL
B:AHDL
C:Verilog HDL
D:ABEL
答案: ABEL

第二章 单元测试

1、 可编程逻辑器件的英文简称是 ( )。

A:FPGA
B:PAL
C:PLD
D:PLA
答案: PLD

2、 现场可编程门阵列的英文简称是( )。

A:FPGA
B:PLA
C:PLD
D:PAL
答案: FPGA

3、 EPF10K30TC144-4具有多少个管脚 ( )。

A:不确定
B:84个
C:144个
D:15个
答案: 144个

4、 EPF10K30TC144-4器件的速度等级是( )。

A:30ns
B:4ns
C:144ns
D:10ns
答案: 4ns

5、 大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是( )。

A:FPGA全称为复杂可编程逻辑器件;
B:在Altera公司生产的器件中,MAX7000系列属FPGA结构。
C:基于SRAM的FPGA器件,在每次上电后必须进行一次配置;
D:FPGA是基于乘积项结构的可编程逻辑器件;
答案: 基于SRAM的FPGA器件,在每次上电后必须进行一次配置;

第三章 单元测试

1、 VHDL常用的库是( )标准库.

A:IEEE
B:PACKAGE
C:STD
D:WORK
答案: IEEE

2、 在下列标识符中,( )是VHDL合法标识符.

A:h_adde4_
B:4h_adde
C:h_adder_4
D:_h_adde
答案: h_adder_4

3、 VHDL程序中的中间信号必须在_中定义,变量必须在_中定义.( )

A:结构体 结构体
B:进程 进程
C:结构体 进程
D:实体 进程
答案: 结构体 进程

4、 在VHDL的FOR_LOOP语句中的循环变量是一个临时变量,属于LOOP语句的局部变量,( )事先声明.

A:其属性要
B:必须
C:不必
D:其类型要
答案: 不必

5、 在VHDL的并行语句之前,可以用( )来传送往来信息.

A:信号
B:常量
C:变量
D:变量和信号
答案: 信号

6、 在VHDL中,条件信号赋值语句WHEN_ELSE属于( )语句.

A:并行
B:顺序
C:任意
D:并行兼顺序
答案: 并行

7、 在元件例化(COMPONENT)语句中,用( )符号实现名称映射,将例化元件端口声明语句中的信号名与PORT MAP()中的信号名关联起来.

A:=
B::=
C:=>
D:<=
答案: =>

8、 一个项目的输入输出端口是定义在( )。

A:结构体中
B:进程体
C:实体中
D:任何位置
答案: 实体中

9、 描述项目具有逻辑功能的是( ) 。

A:进程
B:实体
C:配置
D:结构体
答案: 结构体

10、 关键字ARCHITECTURE定义的是( ) 。

A:进程
B:结构体
C:配置
D:实体
答案: 结构体


下方是付费阅读内容:本平台商品均为虚拟商品,无法用作二次销售,不支持退换货,请在购买前确认您需要购买的资料准确无误后再购买,望知悉!

暂无优惠



完整答案需点击上方按钮支付5元购买,所有答案均为章节测试答案,无期末答案。购买后上方矩形框将出现已付费的隐藏内容。



不知道怎么购买?点此查看购买教程!


点关注,不迷路,微信扫一扫下方二维码

关注我们的公众号:阿布查查  随时查看答案,网课轻松过


为了方便下次阅读,建议在浏览器添加书签收藏本网页

电脑浏览器添加/查看书签方法

1.按键盘的ctrl键+D键,收藏本页面

2.下次如何查看收藏的网页?

点击浏览器右上角-【工具】或者【收藏夹】查看收藏的网页


手机浏览器添加/查看书签方法

一、百度APP添加/查看书签方法

1.点击底部五角星收藏本网页

2.下次如何查看收藏的网页?

点击右上角【┇】-再点击【收藏中心】查看

二、其他手机浏览器添加/查看书签方法

1.点击【设置】-【添加书签】收藏本网页

2.下次如何查看收藏的网页?

点击【设置】-【书签/历史】查看收藏的网页

阿布查查 » EDA技术(湖南文理学院) 知到智慧树答案2024 z27686
+
账户
更新
搜索
帮助
主页