对于systemverilog语法中包的描述正确的包括:A包是一个独立的声明,可以写在模块内部;B包通常写在一个独立文件中,当需要引用包中的声明时,可以使用include包含进来;C包使用package开头,endpackage结尾;D多个模块可以共用包中的声明;

  尔雅 智慧树 mooc


+
账户
更新
搜索
帮助
主页