信号传输是有延时的,所以在VHDL程序中,信号赋值的实现需要经过一个小的延迟,称为δ周期

  尔雅 智慧树 mooc


+
账户
更新
搜索
帮助
主页